Microsoft Word - USSS_03_PLL_v4.doc

Размер: px
Започни от страница:

Download "Microsoft Word - USSS_03_PLL_v4.doc"

Препис

1 Изследване на фазово затворени вериги (PLL). Приложения Блокова схема Принципът на работа на фазово затворени вериги е даден на фиг.. фиг. Сигналът от входния генератор и изходният сигнал на ГУН (VCO) се подават на входа на фазовия детектор (PD). На изхода на фазовия детектор се формира напрежение, съответстващо на фазовата разлика между двата сигнала. Това напрежение се филтрира с помощта на ниско-честотен филтър, като се отстраняват високочестотните съставки. Освен това, НЧФ определя основни параметри на фазово затворената верига. Изходното напрежение на филтъра се подава на управляващия вход на ГУН (VCO) и по този начин се променя честота на изхода на ГУН (VCO) така, че да се намалява фазовата разлика между входния и изходния сигнал. Когато тази фазова разлика спре да се променя (намалява), т.е. остава постоянна, тогава честотата на входния и изходния сигнал са еднакви. Честотната лента, в която честотата на изходния сигнал следи и е равна на честотата на входния сигнал, се нарича лента на задържане на фазово затворената верига f L. Задачи за изпълнение. Да се разучи структурата на макета за изследване на фазово затворени вериги (PLL) и начина за подаване на захранващо напрежение 5V от еднополярен източник.. Да се дефинират в писмен вид основните параметри на фазово затворените вериги: - Централна честота f 0 ; - Управляващо напрежение на генератор, управляван с напрежение (ГУН) - V VCOIN ; - Честотна лента на задържане f L. Устройства и системи със смесени сигнали

2 . Фазово затворена верига, реализирана с ИС 4046, e показана на фиг.. ИЗХОД ВХОД C CA CB VCOOUT COMPIN SIGIN R R R R VCO PCOUT PCOUT PCPOUT LPF_IN НИСКОЧЕСТОТЕН ФИЛТЪР (LPF) R R4 LPF_OUT INH 5 DEMOUT 0 VCOIN 9 PCOUT 5 C фиг. Интегралната схема включва ГУН(VCO) и три фазови детектора с различни предавателни характеристики. В зависимост от изискванията, поставени към фазово затворената верига се използва съответния фазов детектор. В лабораторното упражнение се използва фазов детектор. Резисторите R и R, и кондезаторът C определят честотната лента на задържане ( f L ) и честота на ГУН(VCO) извън лентата на задържане. Ниско-честотният филтър (R, R 4 и C ) е свързан в обратната връзка на фазово затворената верига. Да се оразмери и изследва фазово затворената верига, дадена на фиг., със следните параметри: - минимална честота на лентата на задържане f min 0kHz ; - честотна лентата на задържане f L 00kHz.. Елементи на ГУН(VCO) Определянето на елементите R, R и C се извършва в следната последователност: - при избрана стойност на R 00k и захранващо напрежение V CC 5V от Приложение се определя стойността на кондезатора C в pf в зависимост от честотата f min в Hz; - определя се fmax fmin f L - при захранващо напрежение V CC 5V и отношението f max fmin от Приложение се определя отношението R R, след което се изчислява стойността на резистора R... Изчисляват се коефициентът на предаване на ГУН - KV и коефициентът на предаване на фазовия детектор - K P, по формулите:. fl rad KV, V 0,9 0,9 V. s, K CC VCC 5 V 0,. 4 4 rad P 4

3 .. Елементи на НИСКОЧЕСТОТЕН ФИЛТЪР НЧФ се характеризира със следните три параметъра, които определят реакцията на фазово затворената верига при резки промени на фазовата разлика на входа на фазовия детектор: - n - честота на собствените колебания на фазово затворената верига; - - коефициент на затихване на колебанията; - t - времето за което изходното напрежение на филтъра достига установена стойност. Θ0(t) нормирана по ниво изходна реакция = Фиг.. Нормирани преходни характеристики за малък сигнал на затворения PLL контур. От характеристиките, дадени на фиг. се вижда, че при по-малък коефициент на затихване, изходното напрежение на филтъра по-бързо достига установената стойност, но отскоците около установената стойност са по-големи. При оразмеряването на ниско-честотния филтър обикновено се поставят изисквания за отскока на предавателната характеристика и времето за установяване t в зависимост от приложението на фазово затворената верига. Нека ниско-честотния филтър да осигурява следните изисквания: - отскок на предавателната характеристика 0% ; - време за установяване t ms, след което отскокът да бъде по-малък или равен от 5%. От фиг. следва, че при коефициент на затихване = 0,6 отскокът на предавателната характеристика е максимум 0%. При n t 6, отскокът на преходната характеристика е 5% и можем да приемем, че изходното напрежение на филтъра е достигнало установената стойност. При зададено време за установяване t = ms, oпределяме n : n t Характеристиката се отнася за PLL контур от втори ред тип с НЧФ от първи ред с един полюс в началото на координатната система на полюсно нулевата диаграма.

4 6 6 rad n 6000 t 0,00 s Стойността на съпротивлението R 4 се изчислява по формулата: R C 4 n K K p V, където избираме C 470nF. Стойността на съпротивлението R се изчислява по формулата: K K R. p V R 4 nc.4. Реализирайте схемата с изчислените по-горе стойности на елементите. За целта е необходимо чрез съединителни проводници да осъществите връзките, както е показано на фиг.. - Стойността на R задайте чрез ТРИМЕР (POT ), а на R чрез ТРИМЕР (POT ); - използвайте CAPACITOR за свързване на кондензатора C ; - За входове и изходи използвайте буксите с общо предназначение PLUG Експериментално изследване на реализираната PLL верига. - От функционален генератор (ФГ) подайте периодичен сигнал с правоъгълна форма с TTL нива и честота f 00kHz. Сигналът се получава от изход TTL на ФГ. Визуализирайте сигналите от входа и от изхода на PLL веригата чрез осцилоскоп. - Изследвайте ширината на честотната лента на задържане f L чрез промяна на честотата на входния сигнал, задаван от генератора. Определeте честотите f min и f max, задаващи лентата на задържане. Измерете съответните управляващи напрежения V VCOIN при f min и f max. - Да се снеме предавателната характеристика на ГУН. За целта с помощта на волтметър се измерва входното (управляващо) напрежение V VCOIN за следните четири стойности на изходната честота в лентата на задържане 40 khz, 60 khz, 80 khz, 00 khz. Представете предавателната характеристика на ГУН в графичен вид. 4

5 ИЗХОД C Програмируем делител на честота- N CA CB VCOOUT COMPIN SIGIN ВХОД Разкъсайте връзката м/у крачета и 4 и свържете на нейно място делител на честота R R R R VCO PCOUT PCOUT PCPOUT LPF_IN НИСКОЧЕСТОТЕН ФИЛТЪР (LPF) R R4 LPF_OUT INH 5 DEMOUT 0 VCOIN 9 PCOUT 5 C Фиг. 4. Синтезатор на честота реализиран чрез свързване на двойчен брояч 4060 в обратната връзка на PLL..6. Експериментално изследване на PLL верига работеща като синтезатор на честота. - За да реализирате синтезатор на честота прекъснете веригата на обратната връзка на PLL (между краче и 4 на ИС 4046 ). На нейно място свържете програмируем делител на честота, както е показано на фиг Програмируемите делители на честота (Programmable frequency divider) се намират на PCB (долната платка) на макета. - Подайте от ФГ периодичен входен сигнал с правоъгълна форма с TTL нива и честота f khz. - Синтезирайте изходен сигнал с избрана от Вас честота в диапазона от 90 khz до 0 khz. За целта изчислете необходимия коефициент на делене на делителя за избраната от f out Вас честота по формулата N. f in - С помощта на превключвателите на делителя задайте получения коефициент N в двойчен вид. - Измерете честотата в изхода на PLL и я сравнете с избраната от Вас стойност. 5

6 Приложение 6

7 Приложение 7

8 Списък с означения PLL Phase-Locked Loop (Фазово затворена верига) ГУН Генератор, управляван с напрежение ФД Фазов детектор, времеконстанти на нискочестотен филтър f честота на ГУН извън лентата на задържане off f 0 централна честота на ГУН K V коефициент на предаване на ГУН K P коефициент на предаване на фазовия детектор f L честотна лента на задържане (Зета) коефициент на затихване в преходната характеристика на PLL собствената честота на предавателната характеристика на n PLL t време за установяване на преходната характеристика до определена, предварително зададена стойност V захранващо напрежение CC V VCOIN входно напрежение на ГУН максимално изменение на честотата в честотно девиация на модулиран сигнал (дефинира се в проценти спрямо честотата централната честота f 0 ) 8

Microsoft Word - EShT_lab6_powerAmplifier.doc

Microsoft Word - EShT_lab6_powerAmplifier.doc Лабораторно упражнение 6 1 Изследване на нискочестотни усилватели на мощност Основни съотношения Полезната мощност е P L = I o U o, където I o и U o са ефективните стойности на изходния ток и изходното

Подробно

Microsoft Word - ACxT_OK&OD_lab_2_2016.doc

Microsoft Word - ACxT_OK&OD_lab_2_2016.doc 2 Изследване на усилвателни стъпала по схема с ОК (общ колектор) и с ОД (общ дрейн) за средни честоти и в широка честотна област Цел на упражнението: 1 Да се изследват теоретично и експериментално основните

Подробно

Microsoft Word _11_02_bg.docx

Microsoft Word _11_02_bg.docx Механика Транспорт ISSN 1312-3823 (print) ISSN 2367-6620 (online) Комуникации том 13, брой 3/3, 2015 г. Научно списание http://www.mtc-aj.com статия 1256 ИЗСЛЕДВАНЕ НА СИСТЕМА ЗА ФАЗОВА АВТОМАТИЧНА ДОНАСТРОЙКА

Подробно

Т Е Х Н И Ч Е С К И У Н И В Е Р С И Т Е Т В А Р Н А Електротехнически Факултет Катедра Електроенергетика проф. д.т.н. инж. мат. К. Герасимов k

Т Е Х Н И Ч Е С К И У Н И В Е Р С И Т Е Т В А Р Н А Електротехнически Факултет Катедра Електроенергетика проф. д.т.н. инж. мат. К. Герасимов   k Упражнение 5 ТЕМА: ИЧИСЛЯВАНЕ НА УДАРНИЯ ТОК В МЯСТОТО НА ТРИФАЗНО КЪСО СЪЕДИ- НЕНИЕ В МРЕЖИ ЗА ВИСОКО НАПРЕЖЕНИЕ Въведение: Ще припомним, че в общия слчай мрежите за високо напрежение са многостранно

Подробно

Проектиране на непрекъснат ПИД - регулатор. Динамичните свойства на системите за автоматично регулиране, при реализация на първия етап от проектиранет

Проектиране на непрекъснат ПИД - регулатор. Динамичните свойства на системите за автоматично регулиране, при реализация на първия етап от проектиранет Проектиране на непрекъснат П - регулатор инамичните свойства на системите за автоматично регулиране, при реализация на първия етап от проектирането им, могат да се окажат незадоволителни по отношение на

Подробно

Microsoft Word - 600_8-12

Microsoft Word - 600_8-12 Mechanics ISSN 131-383 Transport issue 3, 011 Communications article 0600 Academic journal http://wwwmtc-ajcom ФОРМИРАНЕ НА ХАОТИЧНИ ПРОЦЕСИ В СИСТЕМИ ЗА ФАЗОВА АВТОМАТИЧНА ДОНАСТРОЙКА НА ЧЕСТОТАТА Галина

Подробно

Microsoft Word - KZ_TSG.doc

Microsoft Word - KZ_TSG.doc ПРИЛОЖЕНИЕ НА ТЕОРИЯТА НА СИГНАЛНИТЕ ГРАФИ ЗА АНАЛИЗ НА ЕЛЕКТРОННИ СХЕМИ С ОПЕРАЦИОННИ УСИЛВАТЕЛИ В теорията на електронните схеми се решават три основни задачи: ) анализ; ) синтез; ) оптимизация. Обект

Подробно

Изследване на статичните характеристики на биполярен транзистор

Изследване на статичните характеристики на биполярен транзистор Име Факултет Дата ТУ-София ФЕТТ, катедра Електронна техника Полупроводникови елементи фак. група 1 Изследване на статичните характеристики на биполярен транзистор З А Д А Н И Е: I. Въпроси за предварителна

Подробно

Vivid WorkshopData ATI

Vivid WorkshopData ATI KE III Jetronic 2 електромагнит на изпускателя на съда 11 запалителна бобина 37 ламда сонда 50 датчик за положението на лопатката за въздушния поток 100 блок за управление 3 горивна помпа 16 инжектор за

Подробно

Slide 1

Slide 1 Обектът на това проучване са механизмите, чрез които мултисензорите събират информация от реалния свят и я трансформират в електронни сигнали, използвани в информационни и управляващи системи. Описана

Подробно

г. Несинусоидални режими в електрическите вериги 1 / 16 Ред на Фурие Несинусоидални режими в електрическите вериги Несинусоидални сигнали До

г. Несинусоидални режими в електрическите вериги 1 / 16 Ред на Фурие Несинусоидални режими в електрическите вериги Несинусоидални сигнали До 11.4.016 г. Несинусоидални режими в електрическите вериги 1 / 16 Ред на Фурие Несинусоидални режими в електрическите вериги Несинусоидални сигнали До този момент разглеждахме електрически вериги, захранвани

Подробно

ТЕХНИЧЕСКИ УНИВЕРСИТЕТ СОФИЯ Факултет по Електронна Техника и Технологии Катедра Електронна техника Маг. инж. Димитър Христов Бадаров ИЗСЛЕДВАНЕ, АНАЛ

ТЕХНИЧЕСКИ УНИВЕРСИТЕТ СОФИЯ Факултет по Електронна Техника и Технологии Катедра Електронна техника Маг. инж. Димитър Христов Бадаров ИЗСЛЕДВАНЕ, АНАЛ ТЕХНИЧЕСКИ УНИВЕРСИТЕТ СОФИЯ Факултет по Електронна Техника и Технологии Катедра Електронна техника Маг. инж. Димитър Христов Бадаров ИЗСЛЕДВАНЕ, АНАЛИЗ И УСЪВЪРШЕНСТВАНЕ НА ПРОГРАМИРУЕМИ МОБИЛНИ УСТРОЙСТВА

Подробно

7203_pasport_

7203_pasport_ Входноизходно устройство тип FD0 вход / изход Паспорт 000 CPD0 Общо описание Входноизходното устройство FD0 (фиг.) е предназначено да изработва и подава електрически сигнал към различни устройства при

Подробно

NUMERICAL EXPERIMENT OF THE BANDPASS FILTER WITH VIN BRIDGE PART.1. Plamen Angelov Angelov, Burgas Free University, Milena Dimitorova

NUMERICAL EXPERIMENT OF THE BANDPASS FILTER WITH VIN BRIDGE PART.1. Plamen Angelov Angelov, Burgas Free University, Milena Dimitorova NUMERICAL EXPERIMENT OF THE BANDPASS FILTER WITH VIN BRIDGE PART.. Plamen Angelov Angelov, Burgas Free University, pangelov@bfu.bg Milena Dimitorova Angelova, Burgas Free University Abstract: Many electronic

Подробно

ОБРАЗОВАНИЕ И НАУКА ЗА ЛИЧНОСТНО И ОБЩЕСТВЕНО РАЗВИТИЕ Национална научна конференция гр. Смолян, октомври, 2017 г. ИЗСЛЕДВАНЕ НА ТРЕПТЯЩ КРЪГ В

ОБРАЗОВАНИЕ И НАУКА ЗА ЛИЧНОСТНО И ОБЩЕСТВЕНО РАЗВИТИЕ Национална научна конференция гр. Смолян, октомври, 2017 г. ИЗСЛЕДВАНЕ НА ТРЕПТЯЩ КРЪГ В ОБРАЗОВАНИЕ И НАУКА ЗА ЛИЧНОСТНО И ОБЩЕСТВЕНО РАЗВИТИЕ Национална научна конференция гр. Смолян, 27 28 октомври, 207 г. ИЗСЛЕДВАНЕ НА ТРЕПТЯЩ КРЪГ В ПРОГРАМНИ СРЕДИ Резюме: Статията дава пример за лекотата

Подробно

Професионална безжична микрофонна система VHF Ръководство за експлоатация Основни функции Използване на VHF MHz честотна лента за блокиране на

Професионална безжична микрофонна система VHF Ръководство за експлоатация Основни функции Използване на VHF MHz честотна лента за блокиране на Професионална безжична микрофонна система VHF Ръководство за експлоатация Основни функции Използване на VHF 200 270 MHz честотна лента за блокиране на интерфериращата честота. Теснолентов филтър за средна

Подробно

СЪДЪРЖАНИЕ

СЪДЪРЖАНИЕ Тема 9: Параметри на синусоидалните напрежения и токове Символично представяне на синусоидални и несинусоидални величини Елементарни двуполюсници в установен синусоидален режим Теоретична част Параметри

Подробно

Presentazione di PowerPoint

Presentazione di PowerPoint Общо продуктово описание Типология: безжично пожароизвестяване Общо описание: самостоятелна безжична пожароизвестителна система Настоящото решение и предназначено основно за онези инсталации, при които

Подробно

Ðàçäåë 1

Ðàçäåë 1 Радиолюбителски клас 1 Раздел 1 Електротехника и радиотехника Актуализиран конспект 18.02.2019 г. 1. Как се нарича енергията, която се съхранява в електромагнитно или електростатично поле? (Б) А. Кинетична

Подробно

СЪДЪРЖАНИЕ

СЪДЪРЖАНИЕ Тема : Анализ на сложни елетричеси вериги чрез заоните на Кирхоф Теоретична част Ао броят на лоновете в една ел. верига е р а броят на възлите q то броят на уравненията с оито веригата може да бъде анализирана

Подробно

Microsoft Word - 1Инструкция БИОФОН-превод.BG1.doc

Microsoft Word - 1Инструкция БИОФОН-превод.BG1.doc Управляем генератор на амплитудно модулирани сигнали «БИОФОН» ПАСПОРТ (Инструкции за употреба) Прочетете преди употреба Съдържание: Съдържание на пакета...3 Характеристики на устройството...4 Дизайн и

Подробно

Рев.1 Pic16 MainBoard 01 (Pic16_MB01) 1

Рев.1 Pic16 MainBoard 01 (Pic16_MB01) 1 Pic16 MainBoard 01 (Pic16_MB01) 1 Съдържание: Обозначение на платката... 3 Използван микроконтролер... 4 Характеристики на микроконтролера... 5 Съвместимост... 7 Принципна електрическа схема... 8 Описание...

Подробно

ISSN

ISSN FRI-2G.302--CSN-0 OPTIMIZATION AND STUDIES OF A QUADRATURE GENERATOR Assoc. Prof. Boyan Karapenev, PhD Department of the Communication Equipment and Technologies, Technical university of Gabrovo, Bulgaria

Подробно

АВТОМАТИЗИРАН КОМПЛЕКС ЗА СИТОПЕЧАТ ВЪРХУ ЦИЛИНДРИЧНИ ПОВЪРХНИНИ

АВТОМАТИЗИРАН КОМПЛЕКС ЗА СИТОПЕЧАТ ВЪРХУ ЦИЛИНДРИЧНИ ПОВЪРХНИНИ ПРОГРАМИРУЕМ СПЕЦИАЛИЗИРАН КОНТРОЛЕР ЗА УПРАВЛЕНИЕ НА ГЕНЕРАТОР НА ТОК E. Петров Резюме: В настоящата работа са разгледани софтуерните аспекти на програмируем специализиран контролер за монофазен интелигентен

Подробно

ЕЛЕКТРОМОБИЛЪТ –

ЕЛЕКТРОМОБИЛЪТ – Механика ISSN 1312-3823 Транспорт том 1, брой 3/3, 212 г. Комуникации статия 747 Научно списание http://www.mtc-aj.com УСТОЙСТВО ЗА СТАТЕНИ И ТЯГОВИ ИЗПИТАНИЯ НА ОЛОВНИ АКУМУЛАТОИ Ангел Гушев agoushev@vtu.bg

Подробно

Microsoft Word - old_centrala_MBE_bul.doc

Microsoft Word - old_centrala_MBE_bul.doc L8542336 Rev. 11/03/01 Централа за MBE Инструкция за експлоатация Page 1 of 4 Вход за бутон пешеходен Page 2 of 4 Централа за мотор MBE Централата MBE може да се използва при мотори, които не превишават

Подробно

Microsoft Word - VypBIOL-02-Kin-Okryznost.doc

Microsoft Word - VypBIOL-02-Kin-Okryznost.doc ВЪПРОС КИНЕМАТИКА НА ДВИЖЕНИЕТО НА МАТЕРИАЛНА ТОЧКА ПО ОКРЪЖНОСТ Във въпроса Кинематика на движението на материална точка по окръжност вие ще се запознаете със следните величини, понятия и закони, както

Подробно

Telecom 2012 paper template

Telecom 2012 paper template APPLICATION OF NEW MATHEMATICAL FUNCTIONS FOR DIGITAL LINEAR-PHASE FILTERS DESIGN ПРИЛОЖЕНИЕ НА НОВИ МАТЕМАТИЧЕСКИ ФУНКЦИИ ПРИ СИНТЕЗ НА ЛИНЕЙНО-ФАЗОВИ ЦИФРОВИ ФИЛТРИ Peter Stoyanov Apostolov, Alexey Kostadinov

Подробно

Контролен блок CP

Контролен блок CP L8542396 Rev.05/08/04 Управляващ блок за двигател за плъзгаща врата до 400 кг. / 230v Инструкция за работа 1 ДЕКЛАРАЦИЯ ЗА СЪОТВЕТСТВИЕ Ние декларираме по този начин, че нашият продукт Отговаря на следните

Подробно

Стацинарни синусоидални режими в еднофазни ел.вериги

Стацинарни синусоидални режими в еднофазни ел.вериги Технически университет София Електротехнически Факултет Катедра Обща електротехника Презентация Стационарни синусоидални режими еднофазни електрически вериги дисциплина Електротехника и електроника FBME7

Подробно

MVS Електронен регулатор на обороти за монтаж на DIN шина Регулаторите MVS автоматично управляват оборотите на еднофазни, управляеми по напрежение еле

MVS Електронен регулатор на обороти за монтаж на DIN шина Регулаторите MVS автоматично управляват оборотите на еднофазни, управляеми по напрежение еле Регулаторите автоматично управляват оборотите на еднофазни, управляеми по напрежение електродвигатели ( VАС / 5 6 Hz) със стандартен управляващ сигнал. Те са оборудвани с Modbus RTU комуникация и се отличават

Подробно

Термоконтролер – Модел E5CS - Инструкция за експлоатация

Термоконтролер – Модел E5CS - Инструкция за експлоатация Термоконтролер модел E5CS Серия микропроцесорни контролери с двойна индикация Внимание : Инструкция за експлоатация Моля, преди да включите и използвате уреда, прочетете тази инструкция - Този уред е с

Подробно

Microsoft Word - stokdovo saprotivlenie.doc

Microsoft Word - stokdovo saprotivlenie.doc Движения при наличие на Стоксово съпротивление При един често срещан вид движения неподвижно тяло започва да се движи под действие на сила с постоянна посока Ако върху тялото действа и Стоксова съпротивителна

Подробно