Microsoft Word - Primer2_4.doc

Размер: px
Започни от страница:

Download "Microsoft Word - Primer2_4.doc"

Препис

1 2.4.) Пример с размяна на приоритетите в крайния автомат в проект drink2 Нека разгледаме същия пример с единствената разлика размяна на приоретите на условията 1 и 2. Тогава финалната диаграма на автомата, създаден с Active-HDL FSM ще изглежда като на фигура 26. Фигура 26 Финална диаграма с разместени приоритети 1 и 2. От видоизменената диаграма получаваме следния генериран VHDL код: File: C:\Mariya Damyanova\soda machine s HDL FSM\binctr.vhd -- created: 04/24/09 16:16:13 -- from: 'C:\Mariya Damyanova\soda machine s HDL FSM\binctr.asf' -- by fsm2hdl - version: library IEEE; use IEEE.std_logic_1164.all; package binctr_pkg is component binctr port (reset, get_drink, clk: in std_logic; give_drink: inout std_logic; empty: inout std_logic) ; end component; end binctr_pkg; library IEEE; use IEEE.std_logic_1164.all; 1

2 library CYPRESS; use CYPRESS.std_arith.all; use CYPRESS.lpmpkg.all; entity binctr is port (CLK: in STD_LOGIC; get_drink: in STD_LOGIC; reset: in STD_LOGIC; empty: inout STD_LOGIC; give_drink: inout STD_LOGIC); end; architecture binctr_arch of binctr is --diagram signal declarations signal remaining: STD_LOGIC_VECTOR (1 downto 0); -- SYMBOLIC ENCODED state machine: curstate type curstate_type is (Idle, No_drink, Start); signal curstate: curstate_type; begin --concurrent signal assignments --diagram ACTIONS; curstate_machine: process (CLK, reset) begin if reset='1' then remaining <="11" ; empty <= '0' ; give_drink <='0' ; curstate <= Start; elsif CLK'event and CLK = '1' then case curstate is when Idle => if get_drink='1' then curstate <= Idle; give_drink <='1'; remaining <= remaining - 1; elsif remaining = "01" and get_drink = '1' then curstate <= No_drink; give_drink <='1'; remaining <= remaining - 1; elsif get_drink='0' then curstate <= Idle; give_drink <='0' ; end if; when No_drink => give_drink <= '0' ; empty <= '1' ; when Start => if get_drink = '1' then curstate <= Idle; give_drink <='1'; remaining <= remaining - 1; end if; when others => null; end case; end if; end process; end binctr_arch; 2

3 Новият код се различава от оригиналния само по размяната на местата на маркираните в червен и син цвят части, съответстващи на условията, чиито приоритети разменихме. Стартирайте Galaxy от менюто Programs -> Cypress -> Warp menu. Нека името на проекта този път е drink2. Фигура 27 Въвеждане на име на проекта. След това добавяме новия binctr.vhd файл към новия проект и го компилираме, като получаваме резултат от успешна компилация като на фигура 28. 3

4 Фигура 28 Успешна компилация. Фигура 29 Първоначалният прозорец Active-HDL Sim за новия binctr.vhd 4

5 Фигура 30 Дефиниране на входни източници и сигнали за изчертаване за изчертаване върху времедиаграмата Добавяме входните източници и сигналите за изчертаване и задаваме същите стойности на входните източници като в предния пример. Накрая стартираме симулацията. 5

6 Фиг. 31 Резултат от симулацията с разменени приоритети в проекта drink2. Анализът на резултата от симулацията показва, че поведението на симулацията не отговаря на зададения модел. Машината дава три напитки в отговор на първите три заявки за питиета. На четвъртата заявка за питие, машината не трябва да даде питие, докато резервоарите не бъдат попълнени, т.е. докато reset не премине от `0` в `1`. Освен това сигналът empty не се покачва, когато две от напитките в отделението вече липсват. Извод: Приоритетите на условията са от значение, не е все едно как подреждаме условията. Поставянето на приоритети е от съществено значение за правилното функциониране на схемата. 6

Slide 1

Slide 1 Въведение в VHDL Паралелни оператори Паралелни оператори Паралелен оператор оператор, който се изпълнява едновременно с другите оператори от този тип. Process - Процес

Подробно

Microsoft Word - Primer2_3.doc

Microsoft Word - Primer2_3.doc 2.3.) Симулация на сода машината в симулатора Active-HDL Sim на Warp => Стартирайте Warp апликацията като натиснете Start, след това изберете съответното име на апликацията от Programs -> Cypress -> Warp

Подробно

Microsoft Word - Primer3_1.doc

Microsoft Word - Primer3_1.doc 3.1.) Създаване на нов проект demultiplexor и нов файл demultiplexor.vhd в текстовия редактор Galaxy Стартирайте текстовия редактор Galaxy, използвайки опциите : Start > Programs > WARP > Galaxy 3.1.1.

Подробно

Структура на програма в C - Част 6 - goto, switch, break и continue клаузи

Структура на програма в C - Част 6 - goto, switch, break и continue клаузи Структура на програма в C Част 6 - goto, switch, break и continue клаузи Иван Георгиев, Христо Иванов, Христо Стефанов Технологично училище "Електронни системи", Технически университет, София 21 април

Подробно

Формуляри

Формуляри 1. Основни тагове при изграждане на формуляр (form) - отварящ таг, затварящ таг - таг input, който може да съдържа няколко атрибута, няма затварящ таг и затова наклонената затваряща черта се изписва в

Подробно

Инструкция за работа с Декларации 1 и 6 Инструкция за изготвяне на Декларация образец 1 и Декларация образец 6 в ПП Омекс 2000, съгласно изискванията

Инструкция за работа с Декларации 1 и 6 Инструкция за изготвяне на Декларация образец 1 и Декларация образец 6 в ПП Омекс 2000, съгласно изискванията Инструкция за работа с Декларации 1 и 6 Инструкция за изготвяне на Декларация образец 1 и Декларация образец 6 в ПП Омекс 2000, съгласно изискванията на НАП от 01.01.2014 г. за едновременно подаване на

Подробно

WEB портал за съобщаване на проблеми в СО Район Банкя Ръководство на потребителя I. Общ изглед на портала, вход и регистриране на потребител След отва

WEB портал за съобщаване на проблеми в СО Район Банкя Ръководство на потребителя I. Общ изглед на портала, вход и регистриране на потребител След отва WEB портал за съобщаване на проблеми в СО Район Банкя Ръководство на потребителя I. Общ изглед на портала, вход и регистриране на потребител След отварянето на линка на портала http://bt.bg73.net/ се визуализира

Подробно

ВТОРА ГЛАВА

ВТОРА ГЛАВА Първи стъпки в SPSS Statistical Package for Social Science (SPSS статистически пакет за социални науки) е компютърна програма, работеща в средата на операционна система Windows, която е специализирана

Подробно

ЕДИС СОФТУЕР ЕООД Пловдив, тел , ЕДИС-АСО ИЗТЕГЛЯНЕ И ИНСТАЛИРАНЕ НА АКТУАЛИЗАЦИЯТ

ЕДИС СОФТУЕР ЕООД Пловдив, тел , ЕДИС-АСО ИЗТЕГЛЯНЕ И ИНСТАЛИРАНЕ НА АКТУАЛИЗАЦИЯТ ЕДИС СОФТУЕР ЕООД Пловдив, тел. 0888-640825, 0877-660667 office@edis-bg.com, https://www.edis-bg.com ЕДИС-АСО ИЗТЕГЛЯНЕ И ИНСТАЛИРАНЕ НА АКТУАЛИЗАЦИЯТА \ Пловдив, юни 2019 г. - 1 - 1. ОПРЕДЕЛЯНЕ НА ТЕКУЩАТА

Подробно

Microsoft Word - ICA user-manual.doc

Microsoft Word - ICA user-manual.doc Софтуер за интеркритериален анализ Потребителска документация Версия ICA-32-20150206, 6 февруари 2015 Разработил софтуерното приложение: Деян, deyanmegara@gmail.com Разработил потребителската документация:

Подробно

Scan Tailor Кратко ръководство за работа Преди време описах как се работи с програмата Scan Kromsator. Сега искам да Ви представя една друга програма,

Scan Tailor Кратко ръководство за работа Преди време описах как се работи с програмата Scan Kromsator. Сега искам да Ви представя една друга програма, Scan Tailor Кратко ръководство за работа Преди време описах как се работи с програмата Scan Kromsator. Сега искам да Ви представя една друга програма, която набира популярност сред любителите на електронните

Подробно

HTML - формат на текст

HTML - формат на текст ТЕКСТ Езикът HTML ви позволява да разполагате текст на страницата, да го подравнявате, оцветявате, да задавате големината на шрифта и самия шрифт. Нека да направим една страница и да разположим някакъв

Подробно

Динамична памет. Конструктори и деструктори Любомир Чорбаджиев Технологическо училище Електронни системи Технически университет, София

Динамична памет. Конструктори и деструктори Любомир Чорбаджиев Технологическо училище Електронни системи Технически университет, София Динамична памет. Конструктори и деструктори Любомир Чорбаджиев Технологическо училище Електронни системи Технически университет, София lchorbadjiev@elsys-bg.org Revision : 1.3 16 ноември 2004 г. Пример:

Подробно

Указание за инсталиране на офлайн Модул за Интрастат оператора (МИО) версия за 2019 г. Последна актуализация на указанието: г. Препор

Указание за инсталиране на офлайн Модул за Интрастат оператора (МИО) версия за 2019 г. Последна актуализация на указанието: г. Препор Указание за инсталиране на офлайн Модул за Интрастат оператора (МИО) версия 6.0.0 за 2019 г. Последна актуализация на указанието: 01.02.2019 г. Препоръка: Да се извърши от системен администратор! Изисквания

Подробно

Инструкция за инсталация на EXTRADE BOUTIQUE Ако на Вашия компютър е инсталирана по-ранна Windows 7 или по-ранна версия, моля пропуснете стъпка Предва

Инструкция за инсталация на EXTRADE BOUTIQUE Ако на Вашия компютър е инсталирана по-ранна Windows 7 или по-ранна версия, моля пропуснете стъпка Предва Инструкция за инсталация на EXTRADE BOUTIQUE Ако на Вашия компютър е инсталирана по-ранна Windows 7 или по-ранна версия, моля пропуснете стъпка Предварителни условия и преминете към стъпка Инсталиране.

Подробно

СТЪПКИ ПРИ ПОДАВАНЕ НА ЕЛЕКТРОННО ЗАЯВЛЕНИЕ

СТЪПКИ ПРИ ПОДАВАНЕ НА ЕЛЕКТРОННО ЗАЯВЛЕНИЕ ПОДАВАНЕ НА ЕЛЕКТРОННО ЗАЯВЛЕНИЕ 1. За да подадете електронно заявление за първи етап на класиране в периода 03-05.07.2019 г., влизате с Вх. и кода за достъп от служебната бележка в https://priem.mon.bg

Подробно

ХТМУ Светослав Ненов Навигация Диференчни схеми Начална страница Курсове Математика Диференчни схеми Уравнение на топлопроводимост FTCS схема Настройк

ХТМУ Светослав Ненов Навигация Диференчни схеми Начална страница Курсове Математика Диференчни схеми Уравнение на топлопроводимост FTCS схема Настройк ХТМУ Светослав Ненов Навигация Диференчни схеми Начална страница Курсове Математика Диференчни схеми Уравнение на топлопроводимост FTCS схема Настройки FTCS схема Календар Разглеждаме едномерната задача

Подробно

Сериализация Калин Георгиев 13 май 2016 г. Калин Георгиев Обектно ориентирано програмиране 13 май 2016 г. 1 / 23

Сериализация Калин Георгиев 13 май 2016 г. Калин Георгиев Обектно ориентирано програмиране 13 май 2016 г. 1 / 23 Калин Георгиев 13 май 2016 г. Калин Георгиев Обектно ориентирано програмиране 13 май 2016 г. 1 / 23 f «data; f» data; Калин Георгиев Обектно ориентирано програмиране 13 май 2016 г. 2 / 23 Първо изискване:

Подробно

В компютърните системи информацията се съхранява само в двоичен код, използваните символи при съставяне на даден текст трябва да се представят като ко

В компютърните системи информацията се съхранява само в двоичен код, използваните символи при съставяне на даден текст трябва да се представят като ко В компютърните системи информацията се съхранява само в двоичен код, използваните символи при съставяне на даден текст трябва да се представят като комбинация от двоични цифри ( и ). При натискане на клавиш

Подробно

ИНСТАЛИРАНЕ НА ЕДИС-АСО ИНСТАЛИРАНЕ И НАСТРОЙКА Инсталиране на актуализацията в инсталация с ЕДИС-АСО 5.7x и 6.1/6.2 Копирайте изтегленат

ИНСТАЛИРАНЕ НА ЕДИС-АСО ИНСТАЛИРАНЕ И НАСТРОЙКА Инсталиране на актуализацията в инсталация с ЕДИС-АСО 5.7x и 6.1/6.2 Копирайте изтегленат ИНСТАЛИРАНЕ НА ЕДИС-АСО 6.6 1. ИНСТАЛИРАНЕ И НАСТРОЙКА. 1.1. Инсталиране на актуализацията в инсталация с ЕДИС-АСО 5.7x и 6.1/6.2 Копирайте изтеглената актуализация (файл aso6600.exe) в папката, където

Подробно

Модални регулатори на състоянието

Модални регулатори на състоянието Модални регулатори на състоянието инж. Веселин Луков, докторант към катедра Автоматизация на производството I. Възможности за изграждане на системите за управление Система за управление при пълна информация

Подробно

УПЪТВАНЕ Facebook Телефон: Whatsapp: PowerLocus Истинските Безжични Bluetooth С

УПЪТВАНЕ Facebook   Телефон: Whatsapp: PowerLocus Истинските Безжични Bluetooth С УПЪТВАНЕ Facebook : @PowerLocus Email : powerdirect155@gmail.com Телефон:0885909483 Whatsapp: +31 633242189 PowerLocus Истинските Безжични Bluetooth Слушалки Как да включите PowerLocus? Моля, натиснете

Подробно

УЧЕБНА ПРОГРАМА ПО ВИЗУАЛНО ПРОГРАМИРАНЕ ЗА III КЛАС (ВЪВЕЖДАНЕ НА ДИСЦИПЛИНАТА ПО ПРОЕКТ ИНОВАТИВНО УЧИЛИЩЕ ) КРАТКО ПРЕДСТАВЯНЕ НА УЧЕБНАТА ПРОГРАМА

УЧЕБНА ПРОГРАМА ПО ВИЗУАЛНО ПРОГРАМИРАНЕ ЗА III КЛАС (ВЪВЕЖДАНЕ НА ДИСЦИПЛИНАТА ПО ПРОЕКТ ИНОВАТИВНО УЧИЛИЩЕ ) КРАТКО ПРЕДСТАВЯНЕ НА УЧЕБНАТА ПРОГРАМА УЧЕБНА ПРОГРАМА ПО ВИЗУАЛНО ПРОГРАМИРАНЕ ЗА III КЛАС (ВЪВЕЖДАНЕ НА ДИСЦИПЛИНАТА ПО ПРОЕКТ ИНОВАТИВНО УЧИЛИЩЕ ) КРАТКО ПРЕДСТАВЯНЕ НА УЧЕБНАТА ПРОГРАМА Обучението по Визуално Програмиране в начален етап

Подробно

-

- Лениво оценяване и програмиране от по-висок ред Трифон Трифонов Функционално програмиране, спец. Информатика, 2015/16 г. 7 януари 2016 г. Трифон Трифонов (ФП-И 15/16) Лениво оценяване 7 януари 2016 г.

Подробно

РЪКОВОДСТВО ЗА РАБОТА С ЕЛЕКТРОННА ПЛАТФОРМА ЗА ТЪРСЕНЕ И ПРЕДЛАГАНЕ НА ЗАНИМАНИЯ ПО ИНТЕРЕСИ НОВО: За учебната година в електронната платфо

РЪКОВОДСТВО ЗА РАБОТА С ЕЛЕКТРОННА ПЛАТФОРМА ЗА ТЪРСЕНЕ И ПРЕДЛАГАНЕ НА ЗАНИМАНИЯ ПО ИНТЕРЕСИ НОВО: За учебната година в електронната платфо РЪКОВОДСТВО ЗА РАБОТА С ЕЛЕКТРОННА ПЛАТФОРМА ЗА ТЪРСЕНЕ И ПРЕДЛАГАНЕ НА ЗАНИМАНИЯ ПО ИНТЕРЕСИ НОВО: За учебната 2019-2020 година в електронната платформа се въвеждат занимания по интереси, финансирани

Подробно

ЦИРКУЛЯРНА ПОЩА (MAIL MERGE)

ЦИРКУЛЯРНА ПОЩА (MAIL MERGE) 1 M AILINGS MAIL M ERGE Възможността за сливане на документи (Mail Merge) обединява главен документ (съдържание на писмото) със списък на получатели (таблица на Word, Excel или Access), за да генерира

Подробно

РЪКОВОДСТВО ЗА РАБОТА С ИНФОРМАЦИОННА СИСТЕМА ЗА ПЕДАГОГИЧЕСКИ СПЕЦИАЛИСТИ Версия Ноември 2018 г.

РЪКОВОДСТВО ЗА РАБОТА С ИНФОРМАЦИОННА СИСТЕМА ЗА ПЕДАГОГИЧЕСКИ СПЕЦИАЛИСТИ Версия Ноември 2018 г. РЪКОВОДСТВО ЗА РАБОТА С ИНФОРМАЦИОННА СИСТЕМА ЗА ПЕДАГОГИЧЕСКИ СПЕЦИАЛИСТИ Версия 1.0 8 Ноември 2018 г. 1 Регистрация на потребител Информационната система осигурява регистрация на следните групи потребители:

Подробно

Smart Review_.indd

Smart Review_.indd Изпращане на файлове през Kodak Insite За да използвате функционалността на програмата, е необходимо да имате регистрация в системата, която може да бъде създадена от екипа на Жанет 45. Ще получите имейл

Подробно

Виртуални функции

Виртуални функции Виртуални функции Статично свързване Как компилаторът избира кой метод или коя функция да бъде извикана? Прави се сравнение между формални и фактически параметри и се избира най-точното съвпадение в случай,

Подробно

ЕКСПЛОДИРАЩИ ТОЧКИ ГЛАВА 1 МАШИНИ Добре дошли на борда на нашето приключение. Това е математическо приключение базирано върху една моя история (аз съм

ЕКСПЛОДИРАЩИ ТОЧКИ ГЛАВА 1 МАШИНИ Добре дошли на борда на нашето приключение. Това е математическо приключение базирано върху една моя история (аз съм ЕКСПЛОДИРАЩИ ТОЧКИ ГЛАВА 1 МАШИНИ Добре дошли на борда на нашето приключение. Това е математическо приключение базирано върху една моя история (аз съм Джеймс), която не е истинска. Когато бях дете, аз

Подробно

Проект 1: Форма за решаване на тест Създайте приложение, което тества знанията на ученика по Информатика. Върху формата да се разположат въпроси с по

Проект 1: Форма за решаване на тест Създайте приложение, което тества знанията на ученика по Информатика. Върху формата да се разположат въпроси с по Проект 1: Форма за решаване на тест Създайте приложение, което тества знанията на ученика по Информатика. Върху формата да се разположат въпроси с по четири отговора всеки, от които точно един е верен.

Подробно

ЕВРОПЕЙСКИ СЪЮЗ Европейки фонд за регионално развитие Инвестираме във вашето бъдеще ОПЕРАТИВНА ПРОГРАМА Развитие на конкурентоспособността на българск

ЕВРОПЕЙСКИ СЪЮЗ Европейки фонд за регионално развитие Инвестираме във вашето бъдеще ОПЕРАТИВНА ПРОГРАМА Развитие на конкурентоспособността на българск BG161PO003-1.1.06-0022-C0001 Ръководство за работа със системата Този документ е създаден с финансовата подкрепа на Оперативна програма на българската икономика 2007-2013, съфинансирана от Европейския

Подробно

Control no:

Control no: Smart Access Работна процедура A. Предварително условиe... 2 1. Съвместимост със смартфон... 2 2. Избор на кабел... 2 a. Устройства на Apple (iphone 4/4s)... 2 b. Устройства на Apple (iphone 5/5c/5s)...

Подробно

2. Лексически анализ. Основни понятия и алгоритъм на лексическия анализ. Програмна структура на лексическия анализатор Цел на упражнението Упражнениет

2. Лексически анализ. Основни понятия и алгоритъм на лексическия анализ. Програмна структура на лексическия анализатор Цел на упражнението Упражнениет 2. Лексически анализ. Основни понятия и алгоритъм на лексическия анализ. Програмна структура на лексическия анализатор Цел на упражнението Упражнението представя кратко въведение в теорията на лексическия

Подробно

ICS Natioanal

ICS Natioanal РЪКОВОДСТВО ЗА ВЪНШНИ ПОТРЕБИТЕЛИ Март 2019 г. История на промените Дата Версия Описание Автор 28.09.2018 1.0 Ръководство за потребителя ИО АД 07.03.2019 2.0 Ръководство за потребителя ИО АД СЪДЪРЖАНИЕ:

Подробно

1. MFL _en

1. MFL _en Преди да използвате вашия уред, прочетете внимателно настоящото ръководство и го запазете за бъдещи справки. 3 9 10 10 11 12 13 13 13 13 13 14 (опция) 14 14 15 Плъзгащ се рафт (опция) Изваждаща се тавичка

Подробно

Формули за нареждане на Рубик куб

Формули за нареждане на Рубик куб Етап 1 Опознаване на твоят Рубик куб Кубът на Рубик се състои от 26 видими съставни части, разделени в три категории: 8бр. ъглови кубчета (Corner Pieces). Части с три цвята, които се намират на всеки ъгъл

Подробно

1. Въведение Нрапософт-Пътен лист е програма за генериране на пътни листа и управление на фактури за горива. Нрапософт-Пътен лист е уеб базирано прило

1. Въведение Нрапософт-Пътен лист е програма за генериране на пътни листа и управление на фактури за горива. Нрапософт-Пътен лист е уеб базирано прило 1. Въведение Нрапософт-Пътен лист е програма за генериране на пътни листа и управление на фактури за горива. Нрапософт-Пътен лист е уеб базирано приложение създадено с една от най-новите и бързо развиваща

Подробно